CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - floating point division

搜索资源列表

  1. 51jianyijisuanqi

    0下载:
  2. 这是一个用51单片机实现的能进行浮点运算(包括浮点乘法,除法等)的计算器-This is a use of the MCS-51 microcontroller capable of floating-point operations (including floating point multiplication, division, etc.) Calculator
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:19990
    • 提供者:kk
  1. fdividing

    0下载:
  2. 浮点除法子程序,可以高效率计算浮点浮点除法,程序短小精干,非常讨巧-floating-point division subroutine, high efficiency calculation float float division, procedures small and lean, very quick and easy
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9392
    • 提供者:张非
  1. div(FLP).rar

    0下载:
  2. 是Nios II處理器下客製化指令的一個32位元浮點數除法器,可將兩IEEE 754格式的值進行相除,Nios II processors are customized instruction under a 32-bit floating-point divider can be two format IEEE 754 value division
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:18360
    • 提供者:TTJ
  1. fudianshuyunsuan

    0下载:
  2. 介绍一组浮点数的运算代码,包括加减乘除运算的VHDL代码实现-Introduced a set of floating-point code of the operation, including addition and subtraction multiplication and division operations to achieve the VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:323472
    • 提供者:jiachen
  1. flodiv

    0下载:
  2. 本程序实现标准浮点数除法。 入口参数:被除数在ARGBH1:ARGBL1:EXP1中,除数在ARGBH2:ARGBL2:EXP2中。 出口参数:结果在ARGBH1:ARGBL1:EXP1中。-This procedure to achieve the standard floating-point division. Entrance parameters: dividend in ARGBH1: ARGBL1: EXP1, the divisor in ARGBH2: ARGBL2:
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:1119
    • 提供者:liu gang
  1. 在VHDL中实现高精度快速除法

    2下载:
  2. 高精度的浮点数除法运算,基于浮点运算的FPGA实现,单精度浮点数-High-precision floating-point division operation, the FPGA based on the realization of floating-point operations, single precision floating point
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-19
    • 文件大小:81437
    • 提供者:jiachen
  1. Calculator

    0下载:
  2. 建议计算器,能进行浮点数的加减乘除,swing界面-Recommended calculator for floating-point addition and subtraction, multiplication and division can, swing interface
  3. 所属分类:Java Develop

    • 发布日期:2017-04-06
    • 文件大小:140356
    • 提供者:strive4future
  1. fudianshu

    0下载:
  2. 用java编写的浮点数除法的运算,计算机组成原理课程设计-Prepared by floating-point division with java computing, computer architecture course design
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-01
    • 文件大小:37944
    • 提供者:caixuan
  1. flodiv

    0下载:
  2. 本程序实现PIC标准浮点数除法。 入口参数:被除数在ARGBH1:ARGBL1:EXP1中,除数在ARGBH2:ARGBL2:EXP2中。 出口参数:结果在ARGBH1:ARGBL1:EXP1中。 占用资源:W,STATUS,020H~02BH,两重堆栈。 说 明: 1.加载本文件的同时也加载了另外2个文件"DUSUB.ASM","DUADD.ASM" 故用户在使用这2个子程序时可直接调用,不必重新加载.- This program to achieve the
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1117
    • 提供者:linqiaoli
  1. PJ1-Floating-Point-Division-ZiyuanCai

    0下载:
  2. Floating Point Division for MIPS with full Comments Use PCSpim to open and run first open float.s second open main.s click "No" for the PCSpim Dialog "Clear program and reinitialize simulator before loading"
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:6334
    • 提供者:caiziyuan
  1. fpu_div

    0下载:
  2. verilog code floating point division
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2068
    • 提供者:Nikhil
  1. SCM-utility-subroutine6

    0下载:
  2. 本资料详细的介绍了利用单片机实现浮点数除法的一些相关程序-This material detailed introduced the use of SCM realizing floating-point division of some relevant procedure
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:44484
    • 提供者:lipei
  1. Floating-point-division-SCM

    0下载:
  2. 浮点除法运算及其在单片机上的实现 论文 -Floating-point division and its implementation on the papers in SCM
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:429406
    • 提供者:赵峰
  1. Floating-point-division

    0下载:
  2. 单片机浮点数除法的快速编程的方法,新的思路,好文章!-Single-chip floating-point division of the fast programming methods, new ideas, good article!
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:163620
    • 提供者:reasonhu
  1. flodiv

    0下载:
  2. 本程序实现标准浮点数除法。入口参数:被除数在ARGBH1:ARGBL1:EXP1中,除数在ARGBH2:ARGBL2:EXP2中。出口参数:结果在ARGBH1:ARGBL1:EXP1中。-This procedure to achieve the standard floating-point division. Entrance parameters: dividend in ARGBH1: ARGBL1: EXP1, the divisor in ARGBH2: ARGBL2: EXP2 i
  3. 所属分类:Graph program

    • 发布日期:2017-04-10
    • 文件大小:1164
    • 提供者:ledonJu
  1. Verilog_add_div_multi_exp

    1下载:
  2. 使用verilog写的32位浮点数加法模块、浮点数乘法模块、浮点数除法模块、浮点数指数模块。指数模块是综合前面三个例化成泰勒级数求指数,迭代次数(可设置)决定了精度。-Use verilog write 32-bit floating-point addition module, floating-point multiplication module, floating-point division module, the floating point number index module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5407
    • 提供者:周和
  1. fu_dian_chu_fa

    0下载:
  2. VHDL浮点除法运算,VHDL浮点数除法,源码,含仿真图 -VHDL floating point division, source code, including simulation mapVHDL floating point division, source code, including simulation map
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:123825
    • 提供者:钓江雪
  1. div

    0下载:
  2. 基于FPGA的32位浮点数除法运算,占用资源少,运算速度较快-Floating-point division based on FPGA
  3. 所属分类:Algorithm

    • 发布日期:2017-04-12
    • 文件大小:673
    • 提供者:啊啊
  1. vhdl-ALU-floating-point-single-precision

    0下载:
  2. Arithmetic and logic unit for floating point single precision addition/substruction, multiplication, division and square root.
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:10339
    • 提供者:RACHIDI
  1. Fau

    0下载:
  2. 使用vhdl写的32位 64位浮点数加法模块、浮点数乘法模块、浮点数除法模块(Use vhdl write 32-bit 64bit floating-point addition module, floating-point multiplication module, floating-point division module)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-15
    • 文件大小:29696
    • 提供者:文中羊
« 12 3 4 »
搜珍网 www.dssz.com